תוכן עניינים:

נלווה Atmega328p: 6 שלבים (עם תמונות)
נלווה Atmega328p: 6 שלבים (עם תמונות)

וִידֵאוֹ: נלווה Atmega328p: 6 שלבים (עם תמונות)

וִידֵאוֹ: נלווה Atmega328p: 6 שלבים (עם תמונות)
וִידֵאוֹ: מהי תורת הגזע באידיאולוגיה הנאצית? | סרטון אנימציה | יד ושם 2024, יולי
Anonim
מלווה Atmega328p
מלווה Atmega328p

ATMEGA328P נלווה: מודול האתחול ומתכנת

אם אתה כמוני, אתה מכור לפרויקטים ולארדואינו. עם זאת, אם ביצעת מספר פרויקטים עם ארדואינו כמוח, כנראה שהתחלת להימאס להסתכל על הלוח של ארדואינו בכללותו, להטריד את הפרויקט שלך ובסך הכל רק להיראות רע. ככזה, החלטתי שאני רוצה לעבור מלוחות ה- Arduino לשימוש רק ב- IC.

הזן את atmega328p.

ה- atmega328p הוא כנראה ה- IC התואם ביותר של Arduino בשוק. עם זאת, זה בשום אופן לא הזול או החזק ביותר, אם לוקחים בחשבון את שני הדברים, זוהי לדעתי הביצועים הטובים ביותר לדולר. אז האם אתה מוכן להתחיל לגרום לפרויקטים שלך להיראות הרבה יותר מקצועיים ופחות כמו אוסף של מודולי מדף? אם כן, קרא את ההוראות ותקבל את המידע הדרוש לבניית מודול משלך שיאפשר לך להבליט מטען אתחול למטרה שלך atmega328p, להעלות את סקיצת ההבהוב כדי לוודא שהדברים עובדים, ולבסוף להעלות סקיצות משלך. לפרויקטים האישיים שלך.

שלב 1: בום וכלים

דבר ראשון, ההוראה הזו לא תהיה שלב אחר שלב כיצד לבנות ידנית, אך במקום זאת אני מניח שאתה יודע לקרוא סכמטי ותוכל לתרגם אותו ללוח. עם זאת בחשבון, להלן החומרים והכלים הדרושים:

חומרים:

  • 1 x 40x60 מ"מ protoboard או PCB
  • 1x כותרת זכר 6 פינים (השתמשתי בזכרים בזווית ישרה)
  • כותרת נקבה 2x14 פינים
  • 1x כותרת נקבה 6 פינים
  • 1x כותרת נקבה 2 פינים
  • 2 קבלים 22pF (22)
  • קבל 0.1uF (104)
  • נגד 10 קאוהם
  • נגד 330ohm
  • 1x LED (כל צבע למחוון כוח - 3 מ"מ לעיצוב PCB)
  • 1x LED (כל צבע לסיכה דיגיטלית 13 לסקיצה מהבהבת - 3 מ"מ לעיצוב PCB)
  • 1x קריסטל 16MHz
  • 1x מתג שקופיות
  • 1x כפתור לחיצה רגעי

כלים:

  • ארדואינו אונו
  • מלחם
  • 6x מגשרים מ-מ
  • מתכנת FTDI
  • כבל USB התואם את מתכנת ה- FTDI שלך
  • Arduino IDE

שלב 2: סכמטי/פריסה

סכמטי/פריסה
סכמטי/פריסה
סכמטי/פריסה
סכמטי/פריסה

לשלב זה מצורפים קבצי הנשר בהם השתמשתי. אתה רשאי לשנות אותם ולשנות אותם כרצונך. עם זאת, עיצבתי את הלוח כך שכל העקבות יהיו על השכבה התחתונה כך שיהיה קל לייצר אותו על טחנת PCB (אם יש לך גישה אחת) עם לוח חד צדדי. הוא גם נמצא בחלק התחתון כך שקל להלחם את הרכיבים יחד.

עם זאת, אם אתה רוצה את ה- PCB, פשוט הפעל את CAM כדי לקבל את קבצי הגרבר הדרושים ליצרן האהוב עליך. אני אוהב את OSH Park כי אתה פשוט נותן להם את קובץ הלוח והם מריצים את מצלמות ה- CAM הדרושות כדי להשיג את הקבצים שהם צריכים.

שלב 3: הלחמה

הַלחָמָה
הַלחָמָה
הַלחָמָה
הַלחָמָה

אז יש שלוש דרכים להכין את הלוח הזה:

  • אוכלוסיית PCB
  • אוכלוסיית לוח טחון
  • אוכלוסיית לוח פרוטובורד

אוכלוסיית ה- PCB היא די קדימה, ולא אמורה לגרום לך בעיות מכיוון שהכל מסומן.

לא צריך להיות קשה מדי לאכלס לוח טחון, מכיוון שהוא מעוצב בדיוק באותו אופן כמו ה- PCB, אלא אם אין לך את הסימונים, לכן עקוב אחר קובץ הלוח ב- Eagle כדי לוודא שאתה שם דברים במקום הנכון (במיוחד הקוטביות של הלדים).

לבסוף, אכלוס פרוטובורד הוא האינטנסיבי ביותר למחשבה, אולם זה לא נורא קשה. יש לי כמה תמונות על שלב זה המראות את גרסת הפרוטובורד שלי וכיצד אני מתאים לכל דבר. שים לב כי LM7805, שני קבלים 4.7uF ומסופי הבורג לא יהיו על הלוח שלך. שיחקתי עם הרעיון שיש לזה יכולת להיות מופעל חיצונית, אבל ברגע שהתחלתי לחשוב על זה, החלטתי שבסופו של דבר זה בזבוז של שטח לוח.

שלב 4: העמסת אתחול

העמסת אתחול
העמסת אתחול

הגדרת ארדואינו לאתחול:

אז כאן תצטרך את הארדואינו השני שלך. קודם כל לך הנה והורד את הקבצים מהבחור הזה. בשלב זה, הקבצים הם בני כמה שנים, אך הם עדיין עובדים מצוין. לאחר שהורדת אותם, פתח את optiLoader.ino וה- Arduino IDE שלך יבקש ממך להכניס אותו לתיקייה. בצע זאת ולאחר מכן הכנס את הקובץ optiLoader.h לתיקייה עם ה-.ino. עכשיו אתה מוכן להעלות את הסקיצה הזו לארדואינו מטעינת האתחול שלך. השתמשתי ב- Arduino Uno, אז אני יודע שזה עובד עם זה, אבל אני בטוח שזה יעבוד גם עם לוחות אחרים.

לאחר שהעלית את optiLoader.ino ל- Arduino שלך, הכל מוכן לאתחול שבבי Arduino שונים. עשיתי את זה רק עם atmega328p, עם זאת, הקוד מוגדר כדי לזהות את מטען ה- MCU של המטרה ולהבהב את מטען האתחול הנכון, וזה די נחמד. אז עכשיו, בכל פעם שתחבר את הארדואינו הזה ללוח Atmega Companion עם שבב מותקן ותפעיל אותו, הוא יזהה אוטומטית שהמטרה היא atmega328p ותבזיק את מטען האתחול המתאים באופן אוטומטי. בלי לקפוץ לאפס, בלי דברים מוזרים; פשוט חבר והפעל.

חיבור מטעין האתחול ללוח הנלווים:

[מטעין אתחול Arduino] [לוח לוויה Atmega328p]

  • D10 איפוס / סיכה 1
  • D11 MOSI / סיכה 17
  • D12 MISO / סיכה 18
  • D13 SCK / סיכה 19
  • 5V Vcc / סיכה 20 או 21
  • GND Gnd / pin 22

הסיכות מתייחסות לרגלי ה- IC עצמו. אם זה מבלבל, תסתכל על תמונת הלוח משלב הסכימה/פריסה כפי שהסיכות בלוח הנלווה מסומנות.

מהבהב מטעין האתחול:

כעת, לאחר שהגדרת את Bootloader Arduino עם הסקיצה של optiLoader.ino והיא גם מחוברת ללוח הלווי שלך, וודא כי מתג הלוח הנלווה מוגדר ל- "bootload" במקום "להעלות" ולאחר מכן חבר את ארדואינו למחשב. אתה אמור לראות את האורות על הלוח הארדואינו והלווי שלך מהבהבים מספר פעמים. לאחר מספר שניות, שניהם אמורים להחשיך ולא להבהב יותר. זה כנראה אומר שזה הצליח להבהב את ה- atmega328p IC שלך עם מטען האתחול. כדי לוודא שהכל הלך כשורה, חבר אותו למחשב שלך ופתח את ה- Arduino IDE ולאחר מכן את הצג הטורי. שנה את קצב השידור ל- 19200. לאחר מכן, אם הוא אינו מופעל מחדש באופן אוטומטי, לחץ על כפתור האיפוס ב- Arduino שלך. אתה אמור לראות צרור טקסט המתאר את מה שהסקריפט עושה. בסופו של דבר, זה לא אמור להכיל הודעות שגיאה וצריך להודיע לך שהתהליך הסתיים.

אם אכן מופיעה שגיאה, בדוק את המתג וודא שאתה נמצא ב"עומס אתחול ". אם אתה עדיין מקבל שגיאות בשלב זה, בדוק את כל החיווט שלך וודא שהוא תקין.

שלב 5: העלאה

מעלה
מעלה

זהו השלב הקל.

נתק את כל החוטים מהשלב הקודם והפוך את המתג מ"מטען אתחול "ל"העלה". חבר את מודול ה- FTDI שלך. אני אוהב את ה- Adafruit CP2104 ואת ה- SparkFun CH340G בעיקר מכיוון שהם זולים יחסית והסיכה תואמת את סיכות ה- FTDI היורדות מהלוח הנלווה.

לאחר שחיבור ה- FTDI שלך ללוח הנלווה שלך, קדימה וחבר אותו למחשב שלך. משם, העלה סקיצה לדוגמא של מצמוץ כדי לוודא שמטען האתחול הבהב כהלכה. בחר Arduino/Genuino Uno מתפריט הלוחות. לאחר העלאת סקיצת ההבהוב, אתה אמור לראות את נורית ההבהוב מהבהבת, כצפוי. אם זה עובד, אתה מוכן להשתמש בהעלאת סקיצות מותאמות אישית משלך ל- IC, בדיוק כמו שהיית עושה עם כל ארדואינו אחר.

שלב 6: עבודה עתידית

בעתיד, אני מתכנן להפוך את זה למגן Arduino Uno. זה לא אמור לקחת הרבה זמן, ואם אנשים רוצים, אני יכול להעלות את קבצי הנשר בשביל זה גם כאן. זה יפשט את שלב האתחול, מכיוון שלא תצטרך לדאוג לחיווט שגוי. אני פתוח גם להצעות אחרות לשיפור, עם זאת, אני לא חושד שאעשה הרבה יותר עם זה, מכיוון שזה היה רק שלב הכרחי בהכנת הפרויקטים העתידיים שלי.

אם אתה רוצה לראות עוד פרויקטים עתידיים שלי, הודע לי על כך, ואני אנסה לעדכן את הקהילה.

מוּמלָץ: