תוכן עניינים:

לוח ארדואינו מתוצרת עצמית: 8 שלבים
לוח ארדואינו מתוצרת עצמית: 8 שלבים

וִידֵאוֹ: לוח ארדואינו מתוצרת עצמית: 8 שלבים

וִידֵאוֹ: לוח ארדואינו מתוצרת עצמית: 8 שלבים
וִידֵאוֹ: מסילת DIN Zigbee 100A מד חשמל 2024, יולי
Anonim
לוח ארדואינו מתוצרת עצמית
לוח ארדואינו מתוצרת עצמית

על ידי עיצוב Arduino-Board משלך תלמד על כמה רכיבים חדשים ומעגלים אלקטרוניים, כולל נושאים מתקדמים כמו אספקת חשמל, מעגל תזמון ושימוש ב- ATmega IC (מעגל משולב).

זה יעזור לך בעתיד ליצור פרויקטים משלך כמו תחנת מזג אוויר, מגני אוטומציה ביתית וכו '.

היתרון של הארדואינו תוצרת עצמית הוא שיש לו צריכת אנרגיה נמוכה ומבטיח כי פרויקט יכול לרוץ לאורך זמן על סוללה.

בנוסף אתה יכול להרחיב את הלוח על ידי הוספת הרחבת יציאה דיגיטלית או אנלוגית או כמה מודולי תקשורת.

אספקה

החומרה

כדי לבנות Arduino מינימליסטי, תזדקק לחומרה הבאה:

1x מיקרו-בקר ATmega328P-PU עם מטען אתחול של Arduino

ווסת מתח לינארי 7805 (פלט 5V, כניסה מקסימלית 35V)

1x קרש לחם (אני משתמש בלוח 830 פינים)

חוטי חיבור שונים

מתנד קריסטל 1x 16 מגהרץ

שקע IC בן 28 פינים

1x 1 μF, 25 קבלים אלקטרוליטיים

1x 100 μF, 25 קבלים אלקטרוליטיים

2 x 22 pF, 50 קבלים קרמיים

2 x 100 nF, 50 קבלים קרמיים של קרמיקה

נגדי 330 אוהם (R1 ו- R2)

נגד 10 קאוהם (R3)

2x נוריות לבחירתך (LED1 ו- LED2)

כפתור לחיצה 1x

כותרת 2x 6 פינים אופציונלית וכותרת 3x 8 פינים

1x הצמדת סוללה מסוג PP3

סוללה מסוג 9 V מסוג PP3

1x מתאם תכנות FTDI

שלב 1: וסת מתח לינארי 7805

וסת מתח לינארי 7805
וסת מתח לינארי 7805
וסת מתח לינארי 7805
וסת מתח לינארי 7805
וסת מתח לינארי 7805
וסת מתח לינארי 7805

ווסת מתח ליניארי מכיל מעגל פשוט הממיר מתח אחד למשנהו. הרגולטור 7805 יכול להמיר מתח בין 7 ל -30 וולט ל -5 וולט קבוע, עם זרם של עד 1 אמפר, וזה מושלם ללוח ה- Arduino שלנו.

נתחיל ביצירת מעגל אספקת החשמל המכיל ווסת מתח 7805 בצורת TO-220 ושני קבלים עם 100 μF כל אחד.

כאשר אתה מסתכל על החלק הקדמי של שבב 7805 - הסיכה בצד שמאל מיועדת למתח הכניסה, הסיכה המרכזית מתחברת ל- GND והסיכה הימנית היא חיבור הפלט 5 וולט. הייתי ממליץ למקם גוף קירור, מכיוון שכאשר המעגל יגיע עד למקסימום של 1 אמפר זרם השבב 7805 יהיה חם שקט (אתה יכול לשרוף את קצה האצבע שלך כשאתה נוגע בו).

מקם קבל 100 μF בין IN של הרגולטור לאדמה וקבל 100 μF על המסילה הימנית בין החשמל לקרקע. עליך להיזהר - הקבל האלקטרוליטי מקוטב (רצועת הכסף בקבל מסמלת את רגל הקרקע) ויש למקם אותה בדיוק לפי הסכימה.

הוסף חוטי חשמל וקרקע למקום שבו יהיה ווסת המתח שלך, מחבר כל מסילה באמצע ובחלק הימני של הלוח. באופן זה יש לנו ספק כוח של 5 וולט מהמסילות העליונות והתחתונות של לוח הלחם. בנוסף נכלול נורית LED אדומה המוארת כאשר הכוח מופעל, באופן זה תמיד נוכל לראות כאשר הלוח שלנו מופעל.

נורית LED היא דיודה והיא מאפשרת זרם חשמלי לזרום רק בכיוון אחד. חשמל צריך לזרום לרגל הארוכה ומחוצה לרגל הקצרה. לקתודת הנורות יש גם צד אחד מעט שטוח, המתכתב עם הרגל הקצרה והשלילית של הנורית.

למעגל שלנו יש ספק כוח של 5 וולט ונורית אדומה מדורגת סביב 1.5 - 2 וולט. כדי להפחית את המתח עלינו לחבר את הנגד בסדרה כאשר הנורית מגבילה את כמות החשמל הזורמת כדי למנוע הרס LED. חלק מהמתח ישמש את הנגד ורק חלק מתאים ממנו יופעל על פני הלד. הכנס את הנגד בין הרגל הקצרה של ה- LED לבין השורה המכילה את החוט השחור בצד ימין של השבב (GND).

החוטים האדומים והשחורים משמאל לווסת המתח הוא המקום בו ספק הכוח שלך יתחבר. החוט האדום מיועד ל- POWER והחוט השחור מיועד לאדמה (GND).

הערה: ניתן לחבר רק ספק כוח שבין 7-16V. כל נמוך יותר ולא תוציא 5V מהרגולטור שלך, ומתח גבוה יותר 17V יפגע בשבב שלך. סוללת 9V, ספק כוח 9V DC או ספק כוח 12V DC מתאימים.

ועוד כמה מעגלים מתקדמים אתה יכול למקם ווסת מתח עם מתח מתכוונן. בדרך זו תוכל להוסיף כמה חיישני 3.3 V ללוח או להפעיל מנוע 9 V DC.

עוד על וסת מתח ליניארי -

www.instructables.com/id/Introduction-to-Linear-Voltage-Regulators

שלב 2: מיקרו-בקר ATmega328P-PU

בקר מיקרו ATmega328P-PU
בקר מיקרו ATmega328P-PU
בקר מיקרו ATmega328P-PU
בקר מיקרו ATmega328P-PU
בקר מיקרו ATmega328P-PU
בקר מיקרו ATmega328P-PU

כדי לבנות Arduino על לוח הלחם אתה צריך מיקרו-בקר ATmega328P-PU שהוא המוח של לוח ה- Arduino תוצרת עצמית שלנו. הנח אותו כפי שמוצג בתרשימים והיה זהיר - הרגליים עלולות להישבר אם אתה מכריח אותן פנימה, או שאתה יכול להשתמש בשקע IC עם 28 פינים. IC צריך להיות ממוקם עם חיתוך בצורת ירח מכוון משמאל ללוח הלחם (הסיכות ממוספרות מ 1 עד 28 נגד כיוון השעון).

הערה: לא כל ATmega IC מכיל את מטען האתחול של Arduino (התוכנה המאפשרת לו לפרש סקיצות שנכתבו עבור Arduino). כאשר אתה מחפש מיקרו-בקר עבור Arduino תוצרת עצמית שלך, הקפד לבחור אחד שכבר כולל את מטעין האתחול.

הנה קצת תיאוריה של מיקרו -בקר

מיקרו -בקר הוא מחשב זעיר עם מעבד המבצע הוראות. יש לו סוגים שונים של זיכרון לאחסון נתונים והוראות מהתוכנית שלנו (סקיצה); ל- ATmega328P-PU יש שלושה סוגי זיכרון: זיכרון פלאש של 32kB ISP (תכנות במערכת) שבו מאוחסנים סקיצות, 1KB EEPROM (זיכרון הניתן לתכנות לקריאה בלבד בחשמל) לאחסון נתונים לטווח ארוך ו- 2kB SRAM (זיכרון סטטי עם גישה אקראית)) לאחסון משתנים כאשר סקיצה פועלת.

הערה: חשוב לדעת כי הנתונים בזיכרון הבזק וב- EEPROM נשמרים כאשר החשמל אל המיקרו -בקר מוסר.

למיקרו-בקר 13 קווי כניסה/פלט דיגיטליים כלליים (GPIO) ושישה קווי 10-ביט (ערכים בין 0 ל -1023) אנלוגי לקווי GPIO של ממיר דיגיטלי (ADC) כדי להמיר את המתח בסיכה לערך דיגיטלי. ישנם שלושה טיימרים עם שני טיימרים של 8 סיביות עם ערכים בין 0 ל -255, וטיימר אחד של 16 סיביות עם ערכים בין 0 ל- 65535, המשמשים את פונקציית השהייה () בסקיצה או על ידי אפנון רוחב הדופק (PWM).

ישנם חמישה מצבי חיסכון בחשמל בתוכנה, והמיקרו-בקר פועל בין 1.8V ל- 5.5V. אתה יכול להשתמש בתמונה כהפניה לפריסת הסיכה של ה- ATmega328P-PU.

ישנן שלוש קבוצות של יציאות: PB, PC ו- PD עם 8, 7 ו- 8 פינים בהתאמה, ועוד שני סיכות הארקה (GND), סיכה 5V (VCC) עם מתח אספקה (AVCC) ומתח התייחסות אנלוגי (AREF)) סיכות לממיר האנלוגי לדיגיטלי (ADC).

שלב 3: חיבור ATmega328P-PU

חיבור ATmega328P-PU
חיבור ATmega328P-PU

לאחר הצבת IC, חבר את הפינים 7, 20 ו- 21 של ה- ATmega למסילת הכוח החיובית בלוח הלחם, והסיכות 8 ו- 23 למסילות החשמל השליליות, השתמש בחוטי מגשר לחיבור מסילות החשמל החיוביות וה- GND משני צדי הכביש. לוח, כפי שמוצג באיור.

פין 7 - Vcc - מתח אספקה דיגיטלי

סיכה 8 - GND

סיכה 22 - GND

פין 21 - AREF - סיכת התייחסות אנלוגית ל- ADC

פין 20 - AVcc - מתח אספקה לממיר ADC. צריך להיות מחובר לחשמל אם ADC אינו בשימוש כמו בדוגמה שלנו. אם תרצה להשתמש בו בעתיד, עליך להפעיל אותו באמצעות מסנן נמוך לעבור (כדי להפחית רעש).

אחרי אותו מקום איזה סיכת כותרת של ארבע עשרה כיוונים-היא תהיה דומה ל- GPIO של Arduino.

שלב 4: לחצן איפוס

כפתור אתחול
כפתור אתחול
כפתור אתחול
כפתור אתחול

הוסף את מתג המישוש הקטן כך שתוכל לאפס את ה- Arduino ולהכין את השבב להעלאת תוכנית חדשה. לחיצה רגעית על מתג זה תאפס את השבב.

נכניס את כפתור האיפוס במעגל שלנו כפי שמוצג באיור, כאשר נלחץ עליו המעגל החשמלי יתקצר ל- GND תוך עקיפת נגד 1kOhm וחיבור פין ATmega 1 ל- GND. לאחר מכן, הוסף חוט מהרגל השמאלית התחתונה של המתג לסיכת RESET של שבב ה- ATmega וחוט מהרגל השמאלית העליונה של המתג אל הקרקע.

בנוסף, הוסף נגד משיכה של 10 k Ohm ל- +5V מסיכת האיפוס כדי למנוע מהשבב לאפס את עצמו במהלך פעולה רגילה. הנגד הזה יתחבר לספק הכוח של 5 וולט, וימשוך את הפין 1 עד 5 וולט כלפי מעלה. וכאשר תחבר את פין 1 ל- 0V ללא נגד, השבב יאתחל מחדש. על המיקרו-בקר לאתחל חפש תוכנית חדשה שמועלה (בהפעלה אם לא נשלח דבר חדש, היא מריצה את התוכנית האחרונה שנשלחה).

לנגד יש פס בעל ארבעה צבעים. קריאת חום = 1, שחור = 0, כתום = 3 נותנת לנו את המספר 103. ההתנגדות באוהם מתחילה '10' עם 3 אפסים אחרי - 10, 000 אוהם או 10 קילו אוהם, ופס הזהב היא הסובלנות (5 %).

כדי לשדרג את המעגל שלנו - אנו יכולים למקם 'ניתוק' קבלים '. מקם קבל קרמיקה של 100 nF (ננו פאראד). זהו דיסק קטן עם שני חוטים עם 'סימון 104' וסוג זה של קבלים אינו מקוטב וניתן למקם אותו בכל כיוון.

קבל 'ניתוק' זה מחליק קוצים חשמליים, כך שאות האתחול שנשלח אל פין 1 מזוהה באופן מהימן. הספרות 104 מראות את יכולתו בפיקו פאראד בסימון מדעי. הספרה האחרונה '4' מספרת לנו כמה אפסים להוסיף. הקיבול מתחיל '10' ולאחר מכן ממשיך עם 4 אפסים נוספים - 100, 000 פיקו פאראדים, ומאחר ש -1000 פיקו פאראד הוא 1 ננו פאראד, ישנם 100 ננו פאראדים (104).

הכנס את הקבל בין הרגל השמאלית העליונה של השבב (סיכה 1, נגד כיוון השעון מצורת חצי הירח)

שלב 5: מתנד קריסטל

מתנד קריסטל
מתנד קריסטל
מתנד קריסטל
מתנד קריסטל

כעת נכין את השעון ל- IC. זהו קוורץ 16 מגה -הרץ ושני קבלים קרמיים 22pF (פיקו פאראד) כל אחד. מתנד הקריסטל יוצר אות חשמלי בעל תדר מדויק מאוד. במקרה זה, התדר הוא 16 מגה -הרץ, כלומר מיקרו -בקר יכול לבצע 16 מיליון הוראות מעבד בשנייה.

הגביש 16 מגהרץ (איור) מאפשר לארדואינו לחשב זמן, והקבלים משמשים להחלקת מתח האספקה.

רגלי קריסטל הקוורץ שניהם זהים - אי אפשר לחבר אותו לאחור. חבר רגל אחת של הגביש לסיכה 9 בשבב ATmega, והרגל השנייה לסיכה 10. חבר את הרגליים של אחד מ -22 קבלים הדיסק pF לסיכה 9 ו- GND, וקבל דיסק אחר לסיכה 10 ו- GND, כמו מוצג באיור.

הערה: קבלים דיסק אינם מקוטבים וניתן להכניס אותם בכל דרך שהיא.

ראוי להזכיר כי אורכי החוט בין קבלים 22pF חייבים להיות באורך שווה וצריכים להיות קרובים ככל האפשר לבקר, כדי למנוע אינטראקציות עם חלקים אחרים במעגלים.

שלב 6: הוספת LED לפין 13

הוספת LED לפין 13
הוספת LED לפין 13
הוספת LED לפין 13
הוספת LED לפין 13
הוספת LED לפין 13
הוספת LED לפין 13

כעת נוסיף את הלד הירוק (סיכה דיגיטלית 13 בארדואינו).

הכנס רגל ארוכה של נוריות LED לשורה שמתחת לחוט האדום (בצד ימין של השבב - כוח, או 5 וולט) ואת הרגל הקצרה בשורה הריקה הראשונה מתחת למייקרו.

נגד 330 אוהם זה מחובר בסדרה עם ה- LED, ומגביל את כמות החשמל הזורמת כדי למנוע הרס נוריות.

הכנס את הנגד בין הרגל הקצרה של הנורית, לבין השורה המכילה את החוט השחור בצד ימין של השבב (GND או 0 וולט)

כל הסיכות האנלוגיות, הדיגיטליות והאחרות הזמינות בלוח Arduino הרגיל זמינות גם בגרסת לוח הלוח שלנו. אתה יכול להשתמש בטבלה הסכימטית וה- pin של ATmega כהפניה.

שלב 7: מחבר USB לטורי

מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי
מחבר USB לטורי

המיקרו-בקר ATmega 328P-PU מספק שלושה מצבי תקשורת: USART סידורי לתכנות (משדר מקלט סינכרוני ואסינכרוני מקלט-משדר), יציאה טורית SPI (Serial Peripheral Interface) וממשק סידורי דו-חוטי. USART לוקח בתים של נתונים ומעביר את הסיביות הבודדות ברצף, הדורש קווי שידור (TX) וקבלה (RX). SPI משתמשת בארבעה קווי תקשורת: master-out slave-in (MOSI), master-in slave-out (MISO) ושעון סדרתי (SCK) עם קו נבחר עבדים (SS) נפרד לכל מכשיר. האוטובוס I2C Communication TWI (Interface Two Wire Interface) משתמש בשני קווי אות: נתונים סדרתיים (SDA) ושעון סדרתי (SCL).

כדי לחבר את הלוח שלנו למחשב עם Arduino IDE להורדת סקיצה, נשתמש בממשק UART סידורי ל- UART כגון FT232R FTDI.

ברכישת כבל FTDI ודא שמדובר בדגם 5 וולט, כי דגם ה -3.3 וולט לא יפעל כראוי. לכבל זה (מוצג באיור) יש תקע USB בקצה אחד ושקע עם שישה חוטים בצד השני.

כאשר אתה מחבר את הכבל, ודא שצד השקע עם החוט השחור מתחבר לסיכת ה- GND שבסיכות הכותרת של הלוח. ברגע שהכבל מחובר, הוא גם מספק חשמל למעגל, בדיוק כמו לוח ארדואינו רגיל.

לאחר מכן נחבר את ה- FTDI שלנו עם לוח הארדואינו תוצרת עצמית; לעיון תוכל להשתמש בטבלה ובסכימה.

קבל אלקטרוליטי 0.1μF מחובר בין סיכת ה- DTR (Data Terminal Ready) בממשק ה- USB ל- UART הטורי לבין איפוס המיקרו -בקר, אשר מאפס את המיקרו -בקר לסנכרן עם ה- USB לממשק הטורי.

הערה: חלק חכם הוא שסיכת RX של מיקרו -בקר חייבת להיות מחוברת ל- TX של ה- USB למתאם הסידורי וזהה ל- TX של התקן אחד ל- RX של השני.

סיכת CTS (Clear to Send) ב- USB לממשק ה- UART הטורי אינה מחוברת לבקר המיקרו.

להורדת סקיצה למיקרו -בקר ב- Arduino IDE מתפריט כלים ➤ יציאה בחר ביציאת התקשורת (COM) הרלוונטית ומתפריט כלים ➤ לוח בחר Arduino/Genuino Uno. הסקיצה נאספת ב- Arduino IDE ולאחר מכן נטענת לבקר המיקרו עם ה- USB לממשק UART הטורי. כאשר הורדת הסקיצה הורדות הנורות הירוקות והאדומות של ממשק ה- USB-to-serial UART TXD ו- RXD.

ניתן להסיר את ממשק ה- USB ל- UART הטורי ולחבר ספק כוח 5V למיקרו -בקר. סיכה LED ו 220kΩ מחוברים לסיכה 19 של המיקרו -בקר, המקביל לסיכה 13 של Arduino, כדי להריץ את סקיצת ההבהוב.

שלב 8: העלאת סקיצה או התקנת מטען האתחול

העלאת סקיצה או התקנת מטען האתחול
העלאת סקיצה או התקנת מטען האתחול
העלאת סקיצה או התקנת מטען האתחול
העלאת סקיצה או התקנת מטען האתחול

אם אין לך ממיר USB לטורי-אתה יכול להשתמש בארדואינו אחר (במקרה שלי Arduino UNO) כדי להעלות סקיצה או מטען אתחול ללוח תוצרת עצמית.

מיקרו-בקרי ATmega238P-PU דורשים מטען אתחול להעלאה והרצאת סקיצות מה- Arduino IDE; כאשר מופעל כוח לבקר המיקרו, מטעין האתחול קובע אם מערכון חדש מועלה ולאחר מכן טוען את הסקיצה לזיכרון הבקר. אם יש לך את ATmega328P-PU ללא מטען אתחול, תוכל להעלות את מטען האתחול באמצעות תקשורת SPI בין שני לוחות.

כך תעלה מטעין אתחול ל- ATmega IC.

ראשית נתחיל בהגדרת UNO Arduino שלנו כ- ISP, זה נעשה מכיוון שאתה רוצה ש- UND Arduino יעלה את הסקיצה ל- ATmega IC ולא לעצמו.

שלב 1: הגדרת UNO Arduino שלנו כספקית אינטרנט

אל תחבר את ה- ATmega IC בזמן שההעלאה שלהלן פועלת.

  • חבר את הארדואינו למחשב
  • פתח את ה- IDE של arduino
  • בחר את הלוח המתאים (כלים> לוח> Arduino UNO) ויציאת COM (כלים> יציאה> COM?)
  • פתח> דוגמאות> ArduinoISP
  • העלה סקיצה

לאחר מכן תוכל לחבר את הלוח שלך ל- UNO Arduino על ידי ביצוע המעגל כפי שמוצג בתרשים. בשלב זה אין צורך לספק כוח משלך מכיוון שהארדואינו יספק את הכוח הדרוש.

שלב 2: העלאת סקיצה או מטען אתחול

כשהכל מחובר פתח את ה- IDE מהתיקייה שיצרת זה עתה (ההעתק).

  • בחר Arduino328 מתוך כלים> לוח
  • בחר Arduino כספקית האינטרנט מתוך כלים> מתכנת
  • בחר צריבת מטען אתחול

לאחר צריבה מוצלחת תקבל "מטען אתחול בוצע".

מטעין האתחול נטען כעת על המיקרו -בקר, שמוכן לקבל סקיצה לאחר שינוי יציאת ה- COM בתפריט כלים ➤ יציאה.

מוּמלָץ: